Verilog-Perl

Verilog-Perlというモジュールがあるぽい。
Moduleのポートとか適当に出してくれる、らしい

この出力を手でちょっとインチキすると dot/graphvizに食わせられるかなあ。
あるいは、この Verilog-Perlの出力をヒントにホゲったら Doxygenのフィルタを比較的簡単に作れないかな。

Verilog-Perl-2.380
Modules
Verilog::Getopt Get Verilog command line options 2.380
Verilog::Language Verilog language utilities 2.380
Verilog::Netlist Verilog Netlist 2.380
Verilog::Netlist::Cell Instantiated cell within a Verilog Netlist 2.380
Verilog::Netlist::File File containing Verilog code 2.380
Verilog::Netlist::Module Module within a Verilog Netlist 2.380
Verilog::Netlist::Net Net for a Verilog Module 2.380
Verilog::Netlist::Pin Pin on a Verilog Cell 2.380
Verilog::Netlist::Port Port for a Verilog Module 2.380
Verilog::Netlist::Subclass Common routines for all classes 2.380
Verilog::Parse parse Verilog language files 2.380
Verilog::Parser Parse Verilog language files 2.380
Verilog::Preproc Preprocess Verilog files 2.316
Verilog::SigParser Signal Parsing for Verilog language files 2.380

Wilson Snyder / Verilog-Perl-2.380 - search.cpan.org